"You Can Reach Us @7619341392 or enquiry@maverick-vlsi.com".


  • Advanced VLSI design and Verification Course
                               (ASIC-DVE)
    Category:   Full time
    Duration:     6 months
    Eligibility:    BE/BTECH/ME/MTECH/MSC Electronics/CSE/IT

"Next two batches are starting in the month of July and August"

MODULE-1 : VLSI Flow Basics

  • Overview of VLSI
  • ASIC & FPGA Flow
  • Protocol Highlights
  • Fundamentals of SOC
  • Semiconductor Industry Requirements

MODULE-2 : Digital Logic Design Concepts

Introduction

- - - - - - - - - -

  • Analog and Digital signals.
  • Digital circuits v/s Analog circuits

Logic Gates and Combinational Circuits

- - - - - - - - - - - - - - - - - - - -- - - - - - - - - - -

  • Truth tables of logic gates & representations
  • Universal logic gates
  • Combining gates to form circuits for simple logic functions.
  • Code Converters
  • Adders, subtractors and carry look ahead adders
  • Multiplexers and design based on multiplexers
  • Encoders and Decoders
  • Parity Generators and Checkers

Sequential Circuits

- - - - - - - - - - - - - - -

  • Latches, Flip-flops
  • JK, SR, D and T Flip flops and conversions
  • Clock, setup time, Hold time
  • Metastability Conditions and preventive actions
  • Memory elements
  • FIFO component

Design of Synchronous Sequential circuits

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

  • Up/down counters
  • Shift registers
  • Pattern/sequence detectors
  • Odd counters like divide by 5 and divide by 3

Finite State machines

- - - - - - - - - - - - - - - - -

  • Mealy state machine
  • Moore state machine
  • Design examples of Mealy and Moore circuits


MODULE-3:Verilog Hardware Description Language

  • Hierarchy Scopes
  • Concurrency
  • Reserved Keywords
  • Lexical Conventions
  • Module Definitions
  • Module Port Declarations
  • Register, Net and Other Data Types
  • Module Instances
  • Primitive Instances
  • Procedural Blocks
  • Timing Controls
  • Procedural Assignments
  • Programming Statements
  • Operators
  • Continuous Assignments
  • Task Definitions
  • Function Definitions
  • System Tasks and Functions
  • Compiler Directives
  • Asynchronous Test bench generation
  • Synchronous Test bench generation

MODULE-4:ASIC Verification Flow and Methodologies

ASIC Verification

- - - - - - - - - - - - - -

  • What is Functional verification ?
  • What is Gate-level simulation ?
  • Verification Issues
  • ASIC Verification flow

Why verification is important?

- - - - - - - - - - - - - - - - - - - - - - - -

  • Importance of verification
  • Why verification is complex?
  • When is verification complete?

ASIC Verification methodology

- - - - - - - - - - - - - - - - - - - - - - - - -

  • Verification environment
  • Verification Terminology
  • Test Bench Design
  • Best Practices
  • Randomization
  • Automation
  • High level languages
  • Methodologies
  • Methodology history
  • Details on different methodologies

ASIC Verification coverage concepts

- - - - - - - - - - - - - - - - - - - - - - - - - -

  • Functional coverage
  • Code coverage
    • Line Coverage
    • Conditional Coverage
    • Toggle Coverage
    • Expression coverage


MODULE-5: System Verilog

Basics of System-Verilog

- - - - - - - - - - - - - - - - - - - -

  • Data types,
  • Data Arrays, Dynamic Arrays, Associative Arrays
  • Queues, System Functions
  • User-Defined Data Types
  • Enumerated Types
  • Procedural statement and Routines
  • Operators
  • Subroutines (tasks & functions)

Fundamentals of OOP

- - - - - - - - - - - - - - - -

  • OOP-Object oriented programming
  • Terminology, Program Constructs
  • Encapsulation, Inheritance, Polymorphism

Integration of Test Bench & DUT

- - - - - - - - - - - - - - - - - - - - - - - - -

  • Interfaces
  • Mod ports
  • Clocking blocks
  • Stimulus Timing
  • Transactors

Randomization

- - - - - - - - - - -

  • Differences b/w Randomization & Directed
  • Constraints & it’s derivatives
  • Pre & post randomization
  • Random control constructs

Concurrency & Inter-process communications

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

  • Events
  • Trigger constructs
  • Fork-join constructs
  • Semaphores
  • Mailboxes

Functional Coverage

- - - - - - - - - - - - - - -

  • Basics
  • Why functional Coverage?
  • Coverage bins
  • Cover points & cover groups
  • Coverage reports
  • Cross coverage


MODULE-6:Advanced System Verilog

Advanced Concepts

- - - - - - - - - - - - - -

  • OOPs
  • Factory Patterns
  • Call backs & its derivatives
  • Virtual Interfaces
  • Unions & Structures
  • Direct Programming Interface like C,C++
  • Environment Creation using SV
  • Connecting DUT,TB & Third-Party BFM’s
  • Tests creation using SV
  • Checkers & Monitors

System verilog Assertions

- - - - - - - - - - - - - - - - - - - -

  • Basics
  • Concurrent Assertions
  • Immediate Assertions
  • Assert constructs
  • Sequences
  • Repetitions & Examples
  • Sampled Value functions
  • Coverage for Assertion

MODULE-7:IEEE 802.3 standard Training

  • Ethernet History
  • Different Modes of Operation
  • CSMA/CD mechanism
  • Half duplex and full duplex modes
  • Different types of collisions
  • Ethernet Hardware
  • Different Layers of Network
  • Encapsulation and Decapsulation
  • Different Ethernet Frames based on Address
  • Data frames and Control frames
  • Ethernet Mac Frame formats according to the IEEE 802.3 standards
  • .
  • Details of 10G pcs layer details according to the IEEE 802.3 standards
  • .

MODULE-8: Universal Verification Methodology (UVM)

UVM Overview

- - - - - - - - - - -

  • UVM Testbench and Environment
  • Interface UVC’s

UVM Library Basics

- - - - - - - - - - - - - - -

  • Library base classes
  • uvm_object class
  • uvm_component class
  • UVM Factory
  • Phasing

TB components

- - - - - - - - - - - - -

  • TLM port communication
  • Virtual sequences
  • Callbacks
  • scoreboards
  • Coverage models
  • Stimulus Generation
  • Macros
  • Policies
  • RAL (Register Abstraction Layer)

Test Bench Integration

- - - - - - - - - - - - - - - - -

  • Test benches and Tests
  • Test bench class
  • Test class
  • Creating TB

Instantiating UVC’s in test bench

- - - - - - - - - - - - - - - - - - - - - - -

  • The Virtual sequencer
  • Test bench configuration
  • Creating a Test
  • Checking for DUT data path check

Creating a scoreboard

- - - - - - - - - - - - - - - - -

  • Implementing a coverage model
  • Selecting a Coverage Method
  • Implementing a Functional Coverage model


MODULE-9: Real Time Projects

  • Pci-express Transaction Layer
  • SATA Controller
  • Ethernet 1G/10G
  • Ethernet 10G PCS
  • Fiber Channel
  • AMBA AHB, APB, MDIO
  • MDIO and AHB Bridges
  • UART Controller, I2C and SPI bus
  • Phase Compensation and Elasticity FIFO
  • DDR Controller
  • Interlaken
  • System packet interface

MODULE-10: Personality Development Skills

  • Improving Communication Skills
  • Technical Presentations
  • Group Discussions





©Maverickvlsi.com.All Rights Reserved.