"You Can Reach Us @7619341392 or enquiry@maverick-vlsi.com".


  • Advanced Verification Course
                               (ASIC-VE)
    Category:   Full time
    Duration:     6 months
    Eligibility:    BE/BTECH/ME/MTECH/MSC Electronics/CSE/IT

"Next two batches are starting in the month of July and August"

MODULE-1 : VLSI Flow Basics

  • Overview of VLSI
  • ASIC & FPGA Flow
  • Protocol Highlights
  • Fundamentals of SOC
  • Semiconductor Industry Requirements

MODULE-2:ASIC Verification Flow and Methodologies

ASIC Verification

- - - - - - - - - - - - - -

  • What is Functional verification ?
  • What is Gate-level simulation ?
  • Verification Issues
  • ASIC Verification flow

Why verification is important?

- - - - - - - - - - - - - - - - - - - - - - - -

  • Importance of verification
  • Why verification is complex?
  • When is verification complete?

ASIC Verification methodology

- - - - - - - - - - - - - - - - - - - - - - - - -

  • Verification environment
  • Verification Terminology
  • Test Bench Design
  • Best Practices
  • Randomization
  • Automation
  • High level languages
  • Methodologies
  • Methodology history
  • Details on different methodologies

ASIC Verification coverage concepts

- - - - - - - - - - - - - - - - - - - - - - - - - -

  • Functional coverage
  • Code coverage
    • Line Coverage
    • Conditional Coverage
    • Toggle Coverage
    • Expression coverage


MODULE-3: System Verilog

Basics of System-Verilog

- - - - - - - - - - - - - - - - - - - -

  • Data types,
  • Data Arrays, Dynamic Arrays, Associative Arrays
  • Queues, System Functions
  • User-Defined Data Types
  • Enumerated Types
  • Procedural statement and Routines
  • Operators
  • Subroutines (tasks & functions)

Fundamentals of OOP

- - - - - - - - - - - - - - - -

  • OOP-Object oriented programming
  • Terminology, Program Constructs
  • Encapsulation, Inheritance, Polymorphism

Integration of Test Bench & DUT

- - - - - - - - - - - - - - - - - - - - - - - - -

  • Interfaces
  • Mod ports
  • Clocking blocks
  • Stimulus Timing
  • Transactors

Randomization

- - - - - - - - - - -

  • Differences b/w Randomization & Directed
  • Constraints & it’s derivatives
  • Pre & post randomization
  • Random control constructs

Concurrency & Inter-process communications

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

  • Events
  • Trigger constructs
  • Fork-join constructs
  • Semaphores
  • Mailboxes

Functional Coverage

- - - - - - - - - - - - - - -

  • Basics
  • Why functional Coverage?
  • Coverage bins
  • Cover points & cover groups
  • Coverage reports
  • Cross coverage


MODULE-4:Advanced System Verilog

Advanced Concepts

- - - - - - - - - - - - - -

  • OOPs
  • Factory Patterns
  • Call backs & its derivatives
  • Virtual Interfaces
  • Unions & Structures
  • Direct Programming Interface like C,C++
  • Environment Creation using SV
  • Connecting DUT,TB & Third-Party BFM’s
  • Tests creation using SV
  • Checkers & Monitors

System verilog Assertions

- - - - - - - - - - - - - - - - - - - -

  • Basics
  • Concurrent Assertions
  • Immediate Assertions
  • Assert constructs
  • Sequences
  • Repetitions & Examples
  • Sampled Value functions
  • Coverage for Assertion

MODULE-5: Real Time Projects

  • Pci-express Transaction Layer
  • SATA Controller
  • Ethernet 1G/10G
  • Ethernet 10G PCS
  • Fiber Channel
  • AMBA AHB, APB, MDIO
  • MDIO and AHB Bridges
  • UART Controller, I2C and SPI bus
  • Phase Compensation and Elasticity FIFO
  • DDR Controller
  • Interlaken
  • System packet interface

MODULE-6: Universal Verification Methodology (UVM)

UVM Overview

- - - - - - - - - - -

  • UVM Testbench and Environment
  • Interface UVC’s

UVM Library Basics

- - - - - - - - - - - - - - -

  • Library base classes
  • uvm_object class
  • uvm_component class
  • UVM Factory
  • Phasing

TB components

- - - - - - - - - - - - -

  • TLM port communication
  • Virtual sequences
  • Callbacks
  • scoreboards
  • Coverage models
  • Stimulus Generation
  • Macros
  • Policies
  • RAL (Register Abstraction Layer)

Test Bench Integration

- - - - - - - - - - - - - - - - -

  • Test benches and Tests
  • Test bench class
  • Test class
  • Creating TB

Instantiating UVC’s in test bench

- - - - - - - - - - - - - - - - - - - - - - -

  • The Virtual sequencer
  • Test bench configuration
  • Creating a Test
  • Checking for DUT data path check

Creating a scoreboard

- - - - - - - - - - - - - - - - -

  • Implementing a coverage model
  • Selecting a Coverage Method
  • Implementing a Functional Coverage model






©Maverickvlsi.com.All Rights Reserved.